프랙틸리아, EUV 공정 안정성 높이기 위한 'FAME OPC' 출시

주요 선두 칩 제조업체들과 도입 협의 중

반도체ㆍ디스플레이입력 :2024/06/12 14:52

스토캐스틱 기반 분석 및 제어 솔루션 전문기업 Fractilia(프랙틸리아)는 'FAME OPC'라는 신제품을 출시한다고 12일 밝혔다. 

프랙틸리아의 FAME OPC는 첨단 패터닝 공정에 사용되는 필수 기법인 광 근접 보정(OPC) 모델링 향상에 결정적인 OPC 측정 및 분석 기능들을 제공한다. 

FAME OPC는 모든 주사전자현미경(SEM) 장비회사의 모든 SEM 장비 모델과 호환되고, 어떠한 OPC 데이터 플로우에도 삽입할 수 있으며, 단독 제품으로 사용하거나 또는 사용자가 기존에 보유하고 있는 프랙틸리아 프레임워크에 추가 기능으로 사용할 수 있다.

Fractilia FAME OPC Image

프랙틸리아의 FAME 및 MetroLER 제품은 회사의 특허 기술인 FILM(Fractilia Inverse Linescan Model) 기술과 진정한 연산 계측(true computational metrology)을 결합했다. 

첨단 노드에서 패터닝 오류의 가장 중요한 원인인 모든 주요 스토캐스틱 효과를 고도로 정확하고도 정밀하게 측정하는 유일하게 검증된 팹 솔루션이다. 현재 프랙틸리아는 주요 선두 칩 제조사들과 자사의 새로운 FAME OPC 제품을 활용해 OPC 데이터를 측정 및 분석하는 방안에 대해 협의 중이다.

OPC는 반도체 제조에 있어서 포토마스크에 미세 에지 편차(tiny edge deviation) 및 SRAF(sub-resolution assist feature)를 사용해 웨이퍼 상에 원하는 칩 패턴의 인쇄 적성을 향상시키는 패터닝 향상 기법이다. 

각각의 OPC 모델들은 게이지(gauge)라고 하는 수만 개의 피처들을 사용해서 보정된다. 이 게이지들이 정확하고도 정밀하게 측정되지 않으면 프로세스 윈도우와 수율에 부정적인 영향을 미칠 수 있다. 

OPC 모델을 보정하기 위해, 칩 제조사들은 테스트 마스크를 사용해 웨이퍼를 프린트한 다음, 게이지가 프린트한 것과 자신들이 설계한 것의 차이를 분석한다. 과거에는 고객들이 임계 선폭(CD)만 측정한 다음, 이를 OPC 모델에 포함시켜서 모델을 보정했다.

그러나 칩 피처 크기가 계속해서 축소되고 EUV 패터닝 도입으로 스토캐스틱 변이(stochastic variability)가 증가함에 따라, OPC 모델 보정 및 검증을 위해서 CD 측정은 더 이상 충분하지 않게 됐다. 

라인 에지 거칠기(LER), 라인 폭 거칠기(LWR), 국부적 에지 배치 오차(LEPE), 국부적 CD 균일성(LCDU), 그리고 CD 측정을 모두 고려해야 한다. 미세 공정 노드가 2나노미터(nm) 이하로 내려가면서 high-NA EUV(0.55 NA EUV) 리소그래피로 이전이 예상됨에 따라 스토캐스틱 변이의 위험성은 더욱 높아졌다.

프랙틸리아의 FAME 솔루션 포트폴리오는 독자적이고 고유한 물리학에 기반한 SEM 모델링 및 데이터 분석 접근법을 사용한다. 이를 통해 SEM 이미지로부터 무작위 오차와 시스템 오차를 측정하고 제거함으로써 이미지 상에 보이는 것이 아니라 실제 웨이퍼 모습을 정확하게 측정한다.

FAME은 LER, LWR, LCDU, LEPE, 스토캐스틱 결함을 포함한 모든 주요 스토캐스틱 효과를 동시에 측정할 수 있을 뿐 아니라, CD와 그 밖에 다른 거리 측정도 제공한다. FAME은 업계 최고 수준의 신호 대 잡음 에지 검출(경쟁 솔루션 대비 최대 5배에 달하는 신호 대 잡음비(SNR)) 성능을 제공하며, 각 SEM 이미지로부터 30배 이상 더 많은 데이터를 추출한다. FAME은 모든 SEM 장비회사의 모든 SEM 장비 모델과 호환된다.

FAME OPC를 통해, 프랙틸리아는 OPC 모델링에 FAME의 고도로 정확한 측정 및 분석 기능을 제공하게 됐다. 사용자는 모든 측정된 게이지의 ‘마스터 시트’를 생성한 다음, 이를 모든 SEM 이미지 및 GDS/OASIS 파일 같은 설계 패턴과 함께 FAME OPC에 전달한다. 

관련기사

그러면 FAME OPC가 SEM 장비 측정에 대해서 CD 측정을 자동으로 보정하고, 각각의 프랙틸리아 ‘레시피’ 파일을 생성하며, 각각의 게이지에 대해서 해당 SEM 이미지를 측정한 다음, 결과들을 취합해서 극히 정확한 측정과 신속한 분석을 제공한다. 

FAME OPC는 이 모든 과정을 완벽하게 자동화된 프로세스로 처리함으로써 엔지니어링 워크로드를 크게 줄이고, 결과를 얻고 최적화된 OPC 처치를 결정하기까지 걸리는 시간을 수십 배 단축한다.