팻 겔싱어 이끄는 인텔, 고개구율 EUV로 공정 격차 맹추격

[이슈진단+] 'IDM 2.0' 선언 3년, 인텔의 행보는 ①

반도체ㆍ디스플레이입력 :2024/03/12 17:19

세계 최대 반도체종합기업(IDM), 인텔의 행보가 매섭습니다. 잠시 인텔을 떠났다 2021년 초 돌아온 팻 겔싱어 CEO가 'IDM 2.0' 전략 아래 수백억 달러에 이르는 막대한 투자를 집행하며 체질개선을 진행하고 있습니다.

인텔은 동북아 지역에 편중된 반도체 생산 역량을 미국과 유럽으로 분산하겠다는 목표 아래 미국과 독일 등 여러 지역에 신규 반도체 생산시설을 연이어 세우고 있습니다. 또 인텔은 그동안 뒤처진 미세공정에서도 역전을 준비하고 있습니다.

인텔의 IDM 2.0 전략은 향후 세계 반도체 시장은 물론 반도체를 먹거리로 삼은 한국에도 적지 않은 영향을 줄 것입니다. 국내 반도체 업계도 과거 3년간 인텔의 행보를 복기하고 향후 변화할 지형에 대비할 시점이 다가왔습니다. [편집자주]


2020년 연말, IDM을 자처하는 인텔은 반도체 생산 공정과 제품에서 모두 문제를 겪고 있었다. EUV(극자외선) 대신 DUV(심자외선) 기술로 가까스로 완성한 10나노급 공정은 노트북용 제품에만 적용됐다.

인텔 10나노급 공정이 적용된 노트북용 11세대 코어 프로세서(타이거레이크) 시제품. (사진=지디넷코리아)

다음 해 출시를 앞둔 데스크톱PC용 11세대 코어 프로세서는 여전히 14나노 공정에 의존해야 했다. 프로세서 부문 최대 경쟁사인 미국 AMD는 TSMC 파운드리에서 생산한 7나노급 공정 기반 라이젠·에픽(EPYC) 프로세서로 시장 점유율을 잠식하고 있었다.

미국 행동주의 사모펀드 '서드포인트' 등 일부 투자자는 공개서한으로 "반도체 제조시설(팹)을 분사하거나 매각하라"며 압박에 나섰다.

인텔에는 전환이 필요한 시점이었지만 사령탑을 맡을 이가 없었다.

2019년 1월부터 2021년 1월까지 인텔 CEO를 맡았던 로버트 스완. (사진=인텔)

당시 인텔 CEO는 CFO 출신 로버트 스완이다. 그는 전임 CEO인 브라이언 크르자니치가 불상사로 사임한 2018년 6월부터 임시 CEO로, 2019년 1월부터 정식 CEO로 인텔을 이끌었다. 그러나 대규모 시설투자나 인수·합병 등 '큰 그림'을 내릴 결단을 내릴 수 없었다.


■ 돌아온 올드보이, 공정 로드맵을 바꾸다


인텔 이사회의 선택은 '올드보이', 팻 겔싱어였다. 그는 1980년 인텔 입사 후 초대 CTO(최고기술책임자)를 역임하고 80486 프로세서를 설계하는 등 여러 업적을 쌓았다. 그러나 CEO를 넘보던 그는 꿈이 좌절되자 2009년 인텔을 떠나 EMC를 거쳐 VM웨어 CEO로 이적했다.

2013년 방한 당시 팻 겔싱어 VM웨어 CEO. (사진=지디넷코리아)

2021년 2월 복귀한 팻 겔싱어 CEO는 "기술 발전의 모든 측면에서 중요한 역할을 했던 인텔을 다시 미래의 리더로 만들겠다"고 선언했다. 또 타사 대비 뒤처졌던 공정 경쟁력 회복을 기치로 내세웠다.

2021년 7월 인텔이 공개한 '4년 동안 5개 공정 실현'(5N4Y) 로드맵. (사진=인텔)

2021년 7월 '인텔 액셀러레이티드' 행사에서는 '4년 동안 5개 공정 실현'(5N4Y) 로드맵도 공개했다. 이 행사를 통해 '옹스트롬'(Ångström, 1A=0.1nm)급 미세 공정이라는 의미를 지닌 '인텔 20A' 공정 명칭이 처음 드러났다.


■ 공정 이름에서 '나노미터' 빼 경쟁사와 보조 맞춰


인텔은 당시까지 공정 명칭에 '10nm 슈퍼핀' 등 nm를 붙였다. 이런 '정직한' 명명법이 경쟁사인 TSMC나 삼성전자 대비 전력, 성능, 면적 및 비용(PPAC)에서 뒤처진다는 인상을 주고 있었다.

2011년 입체 트랜지스터 구조 적용 이후 공정 명칭과 트랜지스터 길이가 일치하지 않는 현상이 심화됐다. (자료=인텔)

이미 반도체 생산 공정은 공정 명칭과 트랜지스터 게이트 길이가 일치하지 않는 방향으로 나아가고 있었다. 이런 현상은 2011년 3차원 핀펫(FinFET) 트랜지스터 구조 등장 이후 심화됐다.

필립 웡 TSMC 연구부문 부사장은 2019년 반도체 업계 행사인 '핫칩스 31' 기조연설에서 "반도체 생산 공정 앞에 숫자는 그저 숫자이며 자동차 모델명처럼 다음 공정을 가리킬 뿐이다. 반도체 공정 이름과 실제 내용물을 혼동하지 말자"고 발언하기도 했다.

2023년 9월 '인텔 이노베이션'에서 공개된 미세공정 로드맵. (사진=지디넷코리아)

결국 인텔도 '인텔 액셀러레이티드' 행사를 기점으로 모든 공정 명칭에서 'nm'(나노미터)를 뺀다. 단 2나노급으로 평가받는 '인텔 20A' 등 초미세공정에는 기존 공정과 구별을 위해 '옹스트롬'급이라는 의미로 알파벳 'A'를 붙였다.


■ 인텔 4 공정으로 경쟁사 추격...기술 격차 '2년'


인텔이 10나노급 공정에 안착하지 못한 가장 큰 이유로 EUV를 꼽을 수 있다. TSMC와 삼성전자는 일정 부분 위험을 감수하고 EUV를 선택한 반면 인텔은 과거 기술인 DUV에 머물렀다.

2012년 시점 인텔이 구상한 미세공정 포트폴리오. (자료=인텔)

시행착오가 거듭되며 공정 개시 시점도 늦어졌다. 2012년 예상했던 10나노 진입 시점인 2014년에서 무려 4년이나 늦은 2018년에야 10나노급 제품인 '캐논레이크'를 내놨다. 그러나 성능이나 생산 규모에서 긍정적인 평가를 받기 힘든 시험작에 가까웠다.

인텔은 당초 예상보다 4년 늦은 2019년에야 10나노급 공정 기반 10세대 코어 프로세서(아이스레이크)를 출시했다. (사진=지디넷코리아)

실제로 인텔이 10나노급 공정을 적용해 본격 양산한 제품은 2019년 하반기 출시한 노트북용 10세대 코어 프로세서(아이스레이크)다. 그러나 고성능이 필요한 게임용 노트북, 데스크톱PC용 프로세서는 2021년 상반기까지 여전히 14나노급 공정을 활용했다.

팻 겔싱어 인텔 CEO는 2021년 3월 "과거 인텔이 10·7나노급 공정 로드맵을 설계할 때만 해도 EUV 공정은 성숙하지 못했다. 따라서 당시에는 EUV를 쓸 수 없었다. 그러나 이에 따라 복잡성이 늘어났고 10나노급 공정도 지연됐다"고 설명했다.

반면 EUV는 DUV 대비 미세한 패턴으로 회로를 새길 수 있고 반도체를 구성하는 웨이퍼 장수를 줄일 수 있다. 이를 통해 복잡성은 줄이며 수율(yield)을 높일 수 있다.

인텔 코어 울트라 프로세서(메테오레이크). 컴퓨트 타일 생산에 인텔 4 공정을 활용했다. (사진=지디넷코리아)

EUV 기반 4나노급 공정 '인텔 4'는 작년 하반기부터 양산에 들어갔다. 이를 통해 TSMC·삼성전자(2021년) 대비 기술 격차는 2년 수준으로 좁혀졌다.

올 2분기부터는 3나노급 공정 '인텔 3'을 활용해 서버용 프로세서인 '시에라 포레스트'(E코어 기반), '그래나이트래피즈'(P코어 기반) 생산에 활용된다. 그러나 TSMC·삼성전자(2022년) 대비 2년 가까이 격차가 남아 있다.


■ 올 하반기 2나노급 공정 양산 돌입


반면 2나노급 공정부터는 인텔의 역전 가능성이 열려 있다. TSMC와 삼성전자는 2나노급 공정 가동 시점을 2025년으로 잡았다.

2023년 9월 인텔 이노베이션 행사에서 인텔 20A 공정으로 생산한 애로레이크 웨이퍼를 공개하는 팻 겔싱어 인텔 CEO. (사진=지디넷코리아)

반면 인텔은 올 하반기부터 2나노급 '인텔 20A' 공정 기반 실제 제품 양산에 들어간다. 이는 경쟁사 대비 최대 반 년 가량 앞선 것이다.

인텔은 지난 1월 미국 오레곤 주 소재 반도체 생산시설에 ASML 고개구율 EUV 노광장비 '트윈스캔 5000' 반입을 마쳤다. (사진=인텔)

인텔은 향후 고개구율 EUV를 이용해 격차를 더 벌릴 예정이다. 이미 지난 해 말에는 고개구율 EUV를 이용한 공정 개발에 필요한 장비인 ASML사의 '트윈스캔 EXE:5000'이 미국 오레곤 주 힐스보로 소재 인텔 시설에 전달됐다.

인텔은 고개구율(High-NA) EUV를 적용한 인텔 14A 공정을 오는 2027년 양산 예정이다. (사진=인텔)

지난 2월 말 진행된 '인텔 파운드리 커넥트 2024'에서는 1.4나노급 공정 '인텔 14A'를 공개하고 2027년부터 양산에 들어가겠다고 밝혔다. 같은 해 말부터는 1.0나노급 '인텔 10A' 공정에서 공정 시험과 수율 조정을 위한 '리스크 생산'이 시작될 예정이다.


■ 내년 상반기 '인텔 18A'로 4년간 로드맵 마무리


인텔이 2021년 공개한 '4년 동안 5개 공정 실현'(5N4Y) 로드맵은 내년 상반기 1.8나노급 공정 '인텔 18A'로 일단락된다. 인텔은 이미 인텔 18A 공정 고객사로 마이크로소프트와 대만 팹리스 '패러데이' 등 고객사를 확보했다.

인텔 18A 공정에서 생산한 클리어워터 포레스트 시제품. (사진=인텔)

단 인텔의 로드맵이 모두 예정대로 진행된 것은 아니다. 2021년 당시 인텔은 "2023년 하반기부터 인텔 3 공정 제품을 양산할 것"이라고 밝혔지만 실제로는 계획 대비 반 년 가량 지연됐다.

그러나 국내 반도체 업계 관계자들은 "팻 겔싱어 CEO가 대외 행사때마다 웨이퍼 시제품을 공개하는 것은 이미 해당 공정의 진척도가 상당하다는 것을 보여주기 위한 것"이라고 설명했다. 해당 생산 공정이 원활히 가동되지 않는다면 불가능한 일이라는 것이다.

관련기사

인텔은 인텔 18A 공정 양산 준비 시기를 2025년에서 2024년으로 앞당긴다고 밝혔다.

인텔 역시 여건이 되는대로 주요 공정 가동 시기를 앞당기고 있다. 일례로 2021년 7월 인텔은 "2025년 적용을 목표로 '인텔 18A' 공정 개발을 마칠 것"이라고 밝혔지만, 약 8개월 뒤인 2022년 3월에는 이를 반 년 이상 앞당겨 2024년까지 양산 채비를 마칠 것이라고 밝혔다.

익명을 요구한 한 관계자는 "TSMC는 물론 전세계 2위 파운드리 업체인 삼성전자 역시 긴장해야 하는 상황이다. 삼성전자가 최근 3나노급 2세대 공정 명칭을 '2나노'로 바꾼 것도 그런 맥락에서 볼 수 있다"고 설명했다.