TSMC, 2나노 시범생산 앞당겨 착수...삼성·인텔 견제

[이슈진단+] 2나노 첨단 파운드리 경쟁 본격화…일본까지 가세

반도체ㆍ디스플레이입력 :2023/06/07 14:00    수정: 2023/11/30 13:48

대만 파운드리 업체 TSMC가 2나노미터(나노미터=10억분의 1m) 공정 반도체 시범 생산 준비에 착수했다.

올 초만 하더라도 TSMC는 2나노 공정 시제품 생산을 내년 초로 계획했지만, 이를 앞당겨 올해 소량 생산에 들어간다는 목표다. 첨단 파운드리 시장에서 삼성전자에 이어 인텔까지 가세하면서 경쟁이 심화되자, TSMC가 이를 견제해 2나노 공정 시범 생산 준비를 조기 착수하고 고객사를 먼저 선점한다는 전략으로 풀이된다. 파운드리 분야는 기술을 개발해도 고객사를 확보해야 수익을 낼 수 있기 때문이다.

반도체 팹(사진=TSMC)

TSMC, 올해 2나노 공정 시범생산 시작…2025년 양산

6일 자유시보 등 대만 언론에 따르면 TSMC가 2나노 공정 반도체 제품의 시범 생산 준비에 착수했으며, 첨단 인공지능(AI)시스템을 사용해 반도체 생산 시 에너지 효율 개선을 가속할 것이라고 밝혔다.

TSMC는 올해 2나노 공정 시제품 생산(소량 생산)을 시작해 2025년 대량 양산을 위한 초석을 다질 계획이다. TSMC는 이미 2나노 공정 첫 번째 고객사로 애플과 엔비디아를 확보했다.

대만 현지 언론은 “TSMC의 2나노 고객사 확보는 한국 삼성전자와 같은 경쟁업체에 큰 압박이 될 것”이라고 진단했다.

TSMC의 2나노 제품 생산은 대만 북부 신주과학단지 바오산 지역에 건설하는 '20팹(반도체 공장)’에서 시작되며 향후에는 대만 중부 타이중 중부과학단지로 확대할 계획이다. 이와 관련해 TSMC는 80조원을 투자해 2나노 팹을 올 초부터 짓고 있으며, 해당 팹은 2026년부터 대량 생산할 계획이다.

앞서 TSMC는 지난 4월 말 미국 샌타클래라에서 개최한 '북미 기술 심포지엄'에서 "2나노(N2) 공정은 올해 업그레이드 버전으로 양산 예정인 3나노 N3E 보다 성능은 10~15% 향상되고, 동일한 속도에서 전력은 25%~30% 절감되며, 칩 밀도는 1.15배 이상 높다"며 일부 스펙을 공개했다.

(표=지디넷코리아, 박은주)

2나노 공정은 삼성전자, TSMC 모두 게이트올어라운드(GAA) 기술을 적용하면서 경쟁이 더 격화될 것으로 보인다.

3나노 공정에서는 지난해 6월 말 삼성전자가 세계 최초로 양산에 돌입하면서 TSMC 보다 먼저 시작했다. TSMC는 같은 해 12월 3나노 공정 양산을 시작했다. 삼성전자는 3나노 공정부터 GAA 공정을 세계 최초로 적용했다면, TSMC는 기존 핀펫(FinFET) 구조를 유지했다. 하지만 TSMC는 2나노부터 GAA 공정을 적용할 계획이다.

GAA는 채널의 3개면을 감싸는 기존 핀펫(FinFET) 구조와 비교해, 게이트의 면적이 넓어지며 공정 미세화에 따른 트랜지스터 성능 저하를 극복하고 데이터 처리 속도와 전력 효율을 높이는 차세대 기술이다.

더 나아가 TSMC는 2026년에 1나노 공장을 북부 타오위안 룽탄 과학단지에 착공해 2027년 시범 생산을 시작하고, 2028년 양산에 들어간다는 계획을 갖고 있다.

삼성전자, 2025년 2나노 2027년 1.4나노 양산 목표

삼성전자도 2나노 공정 개발에 한창이다. 2025년 2나노 공정 양산을 시작하고, 2027년 1.4나노 공정 도입을 목표로 한다. 이와 더불어 삼성전자는 3나노 1세대 보다 면적과 성능, 전력 효율이 대폭 개선된 3나노 2세대 공정을 개발해 내년에 양산할 계획을 세웠다.

삼성전자는 현재 화성캠퍼스에서 3나노 GAA 파운드리 공정 제품을 생산하고 있으며, 향후 평택 캠퍼스에서 3나노 및 2나노 공정 생산을 확대해 나갈 예정이다.

경계현 삼성전자 반도체(DS)부문장(사장)(왼쪽부터), 이창양 산업통상자원부 장관, 최시영 삼성전자 파운드리사업부장(사장)이 2022년 7월25일 경기도 삼성전자 화성사업장에서 열린 3나노 파운드리 출하식에서 기념 사진을 찍고 있다.(사진=삼성전자)

경계현 삼성전자 반도체(DS) 부문 사장은 지난 5월 4일 대전 KAIST에서 열린 '삼성 반도체의 꿈과 행복: 지속 가능한 미래' 강연에서 "냉정하게 얘기하면 삼성전자의 파운드리 기술력이 TSMC에 1~2년 뒤처져 있지만, TSMC가 2나노미터 공정에 들어오는 시점부터는 삼성전자가 앞설 수 있다"고 말했다. 이어 "5년 안에 TSMC를 앞설 수 있다"고 전하며 자신감을 내비쳤다.

파운드리 재진출 선언한 인텔…日 라피더스도 2나노 공정 개발 중

2021년 3월 파운드리 시장 재진출을 선언한 인텔도 현재 2나노 공정 양산을 준비 중이다. 인텔은 2024년 상반기 20A(2나노), 하반기 18A(1.8나노) 공정을 도입한다는 계획이다. 더불어 인텔은 지난 4월 ARM과 파트너십을 맺고 18A(1.8나노) 공정을 활용해 차세대 모바일 시스템온칩(SoC)을 개발한다고 발표했다. 인텔은 옹스트롬(1A는 100억 분의 1m)을 사용해서 표기한다.

인텔은 200억달러(약 24조7000억원)를 투자해 미국 애리조나주에 파운드리 공장을 짓고 있다.

최근에는 일본까지 첨단 파운드리 경쟁에 가세했다. 일본 정부의 지원을 받고 출범한 라피더스 연합도 2027년 양산을 목표로 2나노 공정 파운드리 사업을 준비 중이다. 라피더스는 토요타, 소니, 키옥시아, NTT, 소프트뱅크, NEC, 덴소, 미쓰비시UFJ은행 등 8개사가 2022년 11월에 설립한 반도체 회사다.

라피더스는 일본 북부 주요 섬인 홋카이도에 최첨단 반도체 공장을 건설 중이며, 미국 IBM과 협력해 2나노 공정 반도체 기술을 공동 개발하고 있다.

관련기사

유재희 반도체공학회 부회장(홍익대 전자전기공학부 교수)은 "삼성의 GAA 기술의 신뢰성 및 고객 확보, 인텔-TSMC의 차세대 기술 협력, 일본과 미국의 협력 등 반도체 동맹의 향후 추이를 살펴볼 필요가 있다"라며 "기술적으로는 수율 및 가격, 다양한 니즈를 가진 고객에 대한 양질의 서비스, 반도체 생태계를 조성을 통한 턴키(turn key) 솔루션 공급 여부 등 춘추 전국시대에 코피티션(coopetition, 협조적 경쟁)이 중요하다"고 전했다. 

이어 그는 "일본과는 아직까지 첨단 공정에 대해 기술 격차가 있으나, 일본의 반도체 소재 및 장비 경쟁력은 고려할 요소다"고 분석했다.